Adapt-noc: A flexible network-on-chip design for heterogeneous manycore architectures H Zheng, K Wang, A Louri 2021 IEEE international symposium on high-performance computer architecture …, 2021 | 55 | 2021 |
High-performance, energy-efficient, fault-tolerant network-on-chip design using reinforcement learning K Wang, A Louri, A Karanth, R Bunescu 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019 | 54 | 2019 |
IntelliNoC: A holistic design framework for energy-efficient and reliable on-chip communication for manycores K Wang, A Louri, A Karanth, R Bunescu Proceedings of the 46th International Symposium on Computer Architecture …, 2019 | 46 | 2019 |
A versatile and flexible chiplet-based system design for heterogeneous manycore architectures H Zheng, K Wang, A Louri 2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020 | 44 | 2020 |
Cure: A high-performance, low-power, and reliable network-on-chip design using reinforcement learning K Wang, A Louri IEEE Transactions on Parallel and Distributed Systems 31 (9), 2125-2138, 2020 | 35 | 2020 |
TSA-NoC: Learning-Based Threat Detection and Mitigation for Secure Network-on-Chip Architecture K Wang, H Zheng, A Louri IEEE Micro 40 (5), 56-63, 2020 | 30 | 2020 |
SGCNAX: A scalable graph convolutional neural network accelerator with workload balancing J Li, H Zheng, K Wang, A Louri IEEE Transactions on Parallel and Distributed Systems 33 (11), 2834-2845, 2021 | 24 | 2021 |
Ascend: A scalable and energy-efficient deep neural network accelerator with photonic interconnects Y Li, K Wang, H Zheng, A Louri, A Karanth IEEE Transactions on Circuits and Systems I: Regular Papers 69 (7), 2730-2741, 2022 | 23 | 2022 |
Fsa: An efficient fault-tolerant systolic array-based dnn accelerator architecture Y Zhao, K Wang, A Louri 2022 IEEE 40th International Conference on Computer Design (ICCD), 545-552, 2022 | 15 | 2022 |
SecureNoC: A learning-enabled, high-performance, energy-efficient, and secure on-chip communication framework design K Wang, H Zheng, Y Li, A Louri IEEE Transactions on Sustainable Computing 7 (3), 709-723, 2021 | 9 | 2021 |
AGAPE: Anomaly detection with generative adversarial network for improved performance, energy, and security in manycore systems K Wang, H Zheng, Y Li, J Li, A Louri 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 849-854, 2022 | 8 | 2022 |
FDMAX: An elastic accelerator architecture for solving partial differential equations J Li, Y Zhang, H Zheng, K Wang Proceedings of the 50th Annual International Symposium on Computer …, 2023 | 6 | 2023 |
IntelliNoC: A holistic design framework for energy-efficient and reliable on-chip communication for manycores. In 2019 ACM/IEEE 46th Annual International Symposium on Computer … K Wang, A Louri, A Karanth, R Bunescu IEEE, 2019 | 5 | 2019 |
Gshuttle: Optimizing memory access efficiency for graph convolutional neural network accelerators JJ Li, K Wang, H Zheng, A Louri Journal of computer science and technology 38 (1), 115-127, 2023 | 4 | 2023 |
Interconnection network with adaptable router lines for chiplet-based manycore architecture H Zheng, K Wang, A Louri US Patent 11,489,788, 2022 | 4 | 2022 |
OPT-GCN: A unified and scalable chiplet-based accelerator for high-performance and energy-efficient GCN computation Y Zhao, K Wang, A Louri IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2024 | 2 | 2024 |
Morph-GCNX: A Universal Architecture for High-Performance and Energy-Efficient Graph Convolutional Network Acceleration K Wang, H Zheng, J Li, A Louri IEEE Transactions on Sustainable Computing 9 (2), 115-127, 2023 | 2 | 2023 |
Systems and methods for learning-based high-performance, energy-efficient, and secure on-chip communication design framework K Wang, H Zheng, A Louri US Patent App. 17/307,563, 2021 | 2 | 2021 |
Machine Learning-based Rainfall Prediction from Weather Data: A Comparative Analysis MT Mahmud, H Mahmud, MH Belali, MO Rahman, K Wang 2023 International Conference on Next-Generation Computing, IoT and Machine …, 2023 | 1 | 2023 |
Algorithmic Strategies for Sustainable Reuse of Neural Network Accelerators with Permanent Faults YAA Alama, S Sakpal, K Wang, R Bunescu, A Karanth, A Louri arXiv preprint arXiv:2412.16208, 2024 | | 2024 |