Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs

X Zhao, J Minz, SK Lim - IEEE Transactions on Components …, 2010 - ieeexplore.ieee.org
This paper focuses on low-power and low-slew clock network design and analysis for
through-silicon via (TSV) based three-dimensional stacked ICs (3D ICs). First, we investigate …

Learning-based approximation of interconnect delay and slew in signoff timing tools

AB Kahng, S Kang, H Lee, S Nath… - 2013 ACM/IEEE …, 2013 - ieeexplore.ieee.org
Incremental static timing analysis (iSTA) is the backbone of iterative sizing and Vt-swap**
heuristics for post-layout timing recovery and leakage power reduction. Performing such …

Techniques for fast physical synthesis

CJ Alpert, SK Karandikar, Z Li, GJ Nam… - Proceedings of the …, 2007 - ieeexplore.ieee.org
The traditional purpose of physical synthesis is to perform timing closure, ie, to create a
placed design that meets its timing specifications while also satisfying electrical, routability …

Pre-bond testable low-power clock tree design for 3D stacked ICs

X Zhao, DL Lewis, HHS Lee, SK Lim - Proceedings of the 2009 …, 2009 - dl.acm.org
Pre-bond testing of 3D stacked ICs involves testing individual dies before bonding. The
overall yield of 3D ICs improves with prebond testability because designers can avoid …

TILA-S: Timing-driven incremental layer assignment avoiding slew violations

D Liu, B Yu, S Chowdhury… - IEEE Transactions on …, 2017 - ieeexplore.ieee.org
As very large scale integration technology scales to deep submicrometer and beyond,
interconnect delay greatly limits the circuit performance. The traditional 2-D global routing …

Obstacle-avoiding and slew-constrained clock tree synthesis with efficient buffer insertion

Y Cai, C Deng, Q Zhou, H Yao, F Niu… - IEEE Transactions on …, 2014 - ieeexplore.ieee.org
As VLSI technology continuously scales down, buffered clock tree synthesis (CTS) has
become increasingly critical in an attempt to generate a high-performance synchronous chip …

Low-power clock tree design for pre-bond testing of 3-D stacked ICs

X Zhao, DL Lewis, HHS Lee… - IEEE Transactions on …, 2011 - ieeexplore.ieee.org
Pre-bond testing of 3-D stacked integrated circuits (ICs) involves testing each individual die
before bonding. The overall yield of 3-D ICs improves with pre-bond testability because …

Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs

X Zhao, SK Lim - 2010 15th Asia and South Pacific Design …, 2010 - ieeexplore.ieee.org
In this paper, three effective design techniques are presented to effectively reduce the clock
power consumption and slew of the 3D clock distribution network:(1) controlling the bound of …

Fast interconnect synthesis with layer assignment

Z Li, CJ Alpert, S Hu, T Muhmud, ST Quay… - Proceedings of the …, 2008 - dl.acm.org
As technology scaling advances beyond 65 nanometer node, more devices can fit onto a
chip, which implies continued growth of design size. The increased wire delay dominance …

A heuristic for constructing a rectilinear Steiner tree by reusing routing resources over obstacles

H Zhang, D Ye, W Guo - Integration, 2016 - Elsevier
The obstacle-avoiding rectilinear Steiner minimal tree (OARSMT) problem is a hot topic in
very-large-scale integration physical design. In practice, most of the obstacles occupy the …