Turnitin
降AI改写
早检测系统
早降重系统
Turnitin-UK版
万方检测-期刊版
维普编辑部版
Grammarly检测
Paperpass检测
checkpass检测
PaperYY检测
Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs
This paper focuses on low-power and low-slew clock network design and analysis for
through-silicon via (TSV) based three-dimensional stacked ICs (3D ICs). First, we investigate …
through-silicon via (TSV) based three-dimensional stacked ICs (3D ICs). First, we investigate …
Learning-based approximation of interconnect delay and slew in signoff timing tools
Incremental static timing analysis (iSTA) is the backbone of iterative sizing and Vt-swap**
heuristics for post-layout timing recovery and leakage power reduction. Performing such …
heuristics for post-layout timing recovery and leakage power reduction. Performing such …
Techniques for fast physical synthesis
The traditional purpose of physical synthesis is to perform timing closure, ie, to create a
placed design that meets its timing specifications while also satisfying electrical, routability …
placed design that meets its timing specifications while also satisfying electrical, routability …
Pre-bond testable low-power clock tree design for 3D stacked ICs
Pre-bond testing of 3D stacked ICs involves testing individual dies before bonding. The
overall yield of 3D ICs improves with prebond testability because designers can avoid …
overall yield of 3D ICs improves with prebond testability because designers can avoid …
TILA-S: Timing-driven incremental layer assignment avoiding slew violations
As very large scale integration technology scales to deep submicrometer and beyond,
interconnect delay greatly limits the circuit performance. The traditional 2-D global routing …
interconnect delay greatly limits the circuit performance. The traditional 2-D global routing …
Obstacle-avoiding and slew-constrained clock tree synthesis with efficient buffer insertion
As VLSI technology continuously scales down, buffered clock tree synthesis (CTS) has
become increasingly critical in an attempt to generate a high-performance synchronous chip …
become increasingly critical in an attempt to generate a high-performance synchronous chip …
Low-power clock tree design for pre-bond testing of 3-D stacked ICs
Pre-bond testing of 3-D stacked integrated circuits (ICs) involves testing each individual die
before bonding. The overall yield of 3-D ICs improves with pre-bond testability because …
before bonding. The overall yield of 3-D ICs improves with pre-bond testability because …
Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs
In this paper, three effective design techniques are presented to effectively reduce the clock
power consumption and slew of the 3D clock distribution network:(1) controlling the bound of …
power consumption and slew of the 3D clock distribution network:(1) controlling the bound of …
Fast interconnect synthesis with layer assignment
As technology scaling advances beyond 65 nanometer node, more devices can fit onto a
chip, which implies continued growth of design size. The increased wire delay dominance …
chip, which implies continued growth of design size. The increased wire delay dominance …
A heuristic for constructing a rectilinear Steiner tree by reusing routing resources over obstacles
H Zhang, D Ye, W Guo - Integration, 2016 - Elsevier
The obstacle-avoiding rectilinear Steiner minimal tree (OARSMT) problem is a hot topic in
very-large-scale integration physical design. In practice, most of the obstacles occupy the …
very-large-scale integration physical design. In practice, most of the obstacles occupy the …